环境的搭建

笔者推荐使用WSL Ubuntu环境搭建Chisel的编译调试平台。当然用其他Linux平台也是可以的,不过笔者喜欢Windows的快捷键和软件环境。

JDK环境

JDK环境不能太新,否则会出现各种兼容性问题。笔者推荐OpenJDK 8,当然有更新的版本可以使用,但是这个版本用起来比较稳定。

sudo apt install openjdk-8-jdk

Scala

在之后安装的Chisel环境中使用的就是 sbt 进行编译和测试。

Download Scala 3

Download

sudo apt install sbt

需要注意的是sbt对bash之外的shell兼容性不一定好,比如笔者的zsh就需要先在命令行运行 sbt ,等新的shell 启动之后才能再运行 sbt 启动到 sbt console

接下来更新 sbt 的代理。

mkdir ~/.sbt
touch ~/.sbt/repositories
nano ~/.sbt/repositories

使用华为云:

[repositories]
local
huaweicloud-maven: <https://repo.huaweicloud.com/repository/maven/>
maven-central: <https://repo1.maven.org/maven2/>
sbt-plugin-repo: <https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases>, [organization]/[module]/(scala_[scalaVersion]/)(sbt_[sbtVersion]/)[revision]/[type]s/[artifact](-[classifier]).[ext]

Chisel

Chisel环境不需要特别安装,在 RISCV-FiveStage 中就包含一个最新的Chisel环境(其实Chisel算是一个依赖包)。

运行测试

chisel-bootcamp